Property in cv32e40p_prefetch_controller_sva.sv constantly fires
SV property p_branch_invalidates_fifo
in cv32e40p_prefetch_controller_sva.sv on hash 6fbd88c6 constantly fires. It looks like there is a hold-over from a "unit test":
// Check that after a taken branch the initial FIFO output is not accepted
property p_branch_invalidates_fifo;
@(posedge clk) disable iff (!rst_n) (branch_i || 1) |-> (!(fetch_valid_o && fetch_ready_i));
endproperty
The antecendent says (branch_i || 1), which just can't be what was intended. I am pretty sure that we want is:
@(posedge clk) disable iff (!rst_n) (branch_i) |-> (!(fetch_valid_o && fetch_ready_i));
Note that I have not checked-in the ability to run this with XRUN in the UVM environment (coming soon). Let me know if you need that in order to resolve this issue.